ASML Set to Unveil High-NA EUV Tool


September 7, 2023 by our News Team

  • ASML is on track to ship the first High-NA scanner this year.
  • High-NA scanners can achieve an 8 nm resolution for manufacturing technologies planned for the latter half of this decade.
  • The development of High-NA EUV lithography scanner marks a significant milestone in the industry's pursuit of more advanced chip manufacturing technologies.


ASML, a leading manufacturer of lithography machines, has announced that it will deliver the industry’s first High-NA extreme ultraviolet (EUV) lithography scanner by the end of this year. The machine, called the Twinscan EXE:5000 pilot scanner, with a 0.55 numerical aperture (NA), is being developed to help chipmakers learn how to effectively utilize High-NA EUV technology. This development is seen as a significant step forward in the advancement of EUV lithography machines.

The current most advanced EUV scanners in use are ASML’s Twinscan NXE:3400C and NXE:3400D, which have a 0.33 NA and can achieve a resolution of 13 nm. While this resolution is suitable for manufacturing technologies with metal pitches between 30 nm and 38 nm, it falls short when pitches drop below 30 nm. To address this issue, the industry is working on High-NA EUV scanners with a 0.55 NA, which can achieve an 8 nm resolution for manufacturing technologies planned for the latter half of this decade.

However, the development of High-NA scanners has faced some challenges. ASML CEO Peter Wennink acknowledged that a few suppliers encountered difficulties in ramping up production and meeting the required technological quality, resulting in some delays. Despite these challenges, ASML remains on track to ship the first High-NA scanner this year.

The introduction of High-NA scanners will not only require new optics but also necessitate a larger light source and new fab structures, leading to significant investments. Reports suggest that each High-NA scanner could cost between $300 million and $400 million, compared to over $200 million for the current 0.33 NA EUV scanners.

Intel initially planned to use ASML’s High-NA tools for its 18A (1.8 nm) production node, scheduled for high-volume manufacturing in 2025. However, Intel has since accelerated its 18A production to the latter half of 2024 and opted to use ASML’s Twinscan NXE:3600D/3800E with two exposures, as well as Applied Material’s Endura Sculpta pattern-shaping system to reduce the need for EUV double patterning.

Intel is expected to be the first customer to receive ASML’s pilot High-NA scanner. The company’s developers and engineers will use the machine to adjust Intel’s process technologies for future production tools. It remains unclear how and when Intel will integrate these tools into their processes, but considering the long-term nature of the 18A node, there is a possibility that High-NA EUV will still be utilized.

Samsung Foundry and TSMC are also planning to start chip production on their 2 nm-class nodes (SF2, N2) in late 2025. However, their plans regarding High-NA machines are still uncertain.

The development of ASML’s High-NA EUV lithography scanner marks a significant milestone in the industry’s pursuit of more advanced chip manufacturing technologies. While challenges remain, this technology has the potential to revolutionize the semiconductor industry and enable the production of smaller, more powerful chips.

About Our Team

Our team comprises industry insiders with extensive experience in computers, semiconductors, games, and consumer electronics. With decades of collective experience, we’re committed to delivering timely, accurate, and engaging news content to our readers.

Background Information


About ASML: ASML is an innovation leader in the global semiconductor industry. ASML provide chipmakers with hardware, software and services to mass produce patterns on silicon through lithography. Their lithography systems use ultraviolet light to create billions of tiny structures on silicon that together make up a microchip. Founded in 1984 in the Netherlands with just a handful of employees, ASML hass now grown to over 40,000 employees, 143 nationalities and more than 60 locations around the world.

ASML website  ASML LinkedIn

About Intel: Intel Corporation, a global technology leader, is for its semiconductor innovations that power computing and communication devices worldwide. As a pioneer in microprocessor technology, Intel has left an indelible mark on the evolution of computing with its processors that drive everything from PCs to data centers and beyond. With a history of advancements, Intel's relentless pursuit of innovation continues to shape the digital landscape, offering solutions that empower businesses and individuals to achieve new levels of productivity and connectivity.

Intel website  Intel LinkedIn

About Samsung: Samsung, a South Korean multinational conglomerate, has established itself as a global leader in various industries, including electronics, technology, and more. Founded in 1938, Samsung's influence spans from smartphones and consumer electronics to semiconductors and home appliances. With a commitment to innovation, Samsung has contributed products like the Galaxy series of smartphones, QLED TVs, and SSDs that have revolutionized the way we live and work.

Samsung website  Samsung LinkedIn

About TSMC: TSMC, or Taiwan Semiconductor Manufacturing Company, is a semiconductor foundry based in Taiwan. Established in 1987, TSMC is a important player in the global semiconductor industry, specializing in the manufacturing of semiconductor wafers for a wide range of clients, including technology companies and chip designers. The company is known for its semiconductor fabrication processes and plays a critical role in advancing semiconductor technology worldwide.

TSMC website  TSMC LinkedIn

Technology Explained


EUV: Extreme Ultraviolet Lithography (EUV or EUVL) is an advanced semiconductor manufacturing technique that employs extremely short wavelengths of light in the extreme ultraviolet spectrum to create intricate patterns on silicon wafers. Utilizing a wavelength around 13.5 nanometers, significantly shorter than traditional lithography methods, EUVL enables the production of smaller and more densely packed integrated circuits, enhancing the performance and efficiency of modern microprocessors and memory chips.


Foundry: A foundry is a dedicated manufacturing facility focused on producing semiconductor components like integrated circuits (ICs) for external clients. These foundries are pivotal in the semiconductor industry, providing diverse manufacturing processes and technologies to create chips based on designs from fabless semiconductor firms or other customers. This setup empowers companies to concentrate on innovative design without needing substantial investments in manufacturing infrastructure. Some well-known foundries include TSMC (Taiwan Semiconductor Manufacturing Company), Samsung Foundry, GlobalFoundries, and UMC (United Microelectronics Corporation).





Leave a Reply