ASML Achieves Milestone: High-NA EUV Tool Successfully Patterns First Wafer, Ships Second High-NA Scanner


April 21, 2024 by our News Team

ASML has achieved a major milestone in the development of High-NA EUV lithography, paving the way for higher resolutions and increased transistor density in chip manufacturing.

  • ASML is the leading manufacturer of photolithography machines, making them a trusted and reliable source for this technology.
  • The achievement of printing 10 nanometer dense lines using their High-NA EUV prototype system is a major milestone and a significant step forward for the company.
  • The potential for even higher resolution and increased transistor density with High-NA EUV tools will greatly benefit the semiconductor industry and pave the way for future advancements in chip manufacturing.


ASML, the leading manufacturer of photolithography machines, has made significant progress in the development of high numerical aperture extreme ultraviolet lithography (High-NA EUV). The company has achieved a major milestone by printing the first-ever 10 nanometer dense lines using their High-NA EUV prototype system at their facility in Veldhoven, the Netherlands. This achievement marks a significant step forward for ASML and their next-generation tools.

The imaging process was carried out after the calibration of optics, sensors, and stages. ASML’s focus now is on bringing the system to full performance and replicating these results in real-world applications. The company aims to achieve even higher resolution, with High-NA EUV tools eventually reaching an 8 nm resolution. This level of precision will be crucial for the production of logic chips on technologies beyond 3 nm.

In addition to the system shipped to Intel at the end of 2023, ASML has retained their own Twinscan EXE:5000 scanner at their Veldhoven facility for further research and development into High-NA EUV. Using this machine, ASML has successfully printed dense lines spaced 10 nanometers apart, a resolution that was previously only achievable with small-scale experimental lab machines. This breakthrough paves the way for future advancements in photolithography.

Intel’s Twinscan EXE:5000 scanner, located at their D1X fab near Hillsboro, Oregon, is also nearing completion. Intel will primarily use this scanner for their own High-NA EUV research and development. The company plans to utilize its successor, the commercial-grade Twinscan EXE:5200, for mass production of chips on their Intel 14A (1.4 nm-class) technology in 2026-2027.

ASML has recently shipped another Twinscan EXE:5000 machine to an undisclosed customer. While the client’s identity remains confidential, ASML has previously mentioned that leading logic and memory producers are in the process of procuring High-NA tools for their research and development needs. This suggests that the adoption of High-NA EUV scanners will become more widespread among chipmakers in the near future.

While Intel is taking the lead in adopting High-NA EUV tools, other chipmakers are proceeding more cautiously and relying on the established Low-NA EUV double patterning method for their 3 nm and 2 nm production. However, it is expected that all major fabs will eventually transition to High-NA EUV tools. ASML’s ongoing research and development efforts are of great interest to the industry as a whole.

ASML’s Chief Business Officer, Christophe Fouquet, highlighted the high customer interest in their High-NA system lab. This system will assist both logic and memory customers in preparing for the integration of High-NA technology into their roadmaps. The 0.55 NA system offers finer resolution, enabling a nearly three-fold increase in transistor density compared to the 0.33 NA system, while maintaining similar productivity levels. This advancement supports the development of sub-2 nm logic and sub-10 nm DRAM nodes.

ASML’s progress in High-NA EUV lithography is a significant development for the semiconductor industry. With the potential for higher resolutions and increased transistor density, these advancements will play a crucial role in shaping the future of chip manufacturing.

About Our Team

Our team comprises industry insiders with extensive experience in computers, semiconductors, games, and consumer electronics. With decades of collective experience, we’re committed to delivering timely, accurate, and engaging news content to our readers.

Background Information


About ASML: ASML is an innovation leader in the global semiconductor industry. ASML provide chipmakers with hardware, software and services to mass produce patterns on silicon through lithography. Their lithography systems use ultraviolet light to create billions of tiny structures on silicon that together make up a microchip. Founded in 1984 in the Netherlands with just a handful of employees, ASML hass now grown to over 40,000 employees, 143 nationalities and more than 60 locations around the world.

ASML website  ASML LinkedIn

About Intel: Intel Corporation, a global technology leader, is for its semiconductor innovations that power computing and communication devices worldwide. As a pioneer in microprocessor technology, Intel has left an indelible mark on the evolution of computing with its processors that drive everything from PCs to data centers and beyond. With a history of advancements, Intel's relentless pursuit of innovation continues to shape the digital landscape, offering solutions that empower businesses and individuals to achieve new levels of productivity and connectivity.

Intel website  Intel LinkedIn

Technology Explained


EUV: Extreme Ultraviolet Lithography (EUV or EUVL) is an advanced semiconductor manufacturing technique that employs extremely short wavelengths of light in the extreme ultraviolet spectrum to create intricate patterns on silicon wafers. Utilizing a wavelength around 13.5 nanometers, significantly shorter than traditional lithography methods, EUVL enables the production of smaller and more densely packed integrated circuits, enhancing the performance and efficiency of modern microprocessors and memory chips.





Leave a Reply