ASML Unveils Groundbreaking 2nm-Gen Low-NA EUV Tool, Twinscan NXE:3800E, a Technological Marvel


March 13, 2024 by our News Team

ASML delivered an updated Twinscan NXE:3800E lithography machine, designed for producing advanced chips with 2nm and 3nm-class technologies, with a goal of improved throughput and alignment precision.

  • Improved wafer throughput
  • Increased wafer alignment precision
  • Enables production of cutting-edge chips using 2nm and 3nm-class technologies


ASML, the leading supplier of lithography machines, has recently delivered its first updated Twinscan NXE:3800E lithography machine for installation in chip fabs. This new machine is specifically designed for producing chips using 2nm and 3nm-class technologies, which are expected to be utilized in the next couple of years.

While ASML has not released detailed specifications for the NXE:3800E, previous roadmaps indicate that it will offer improved wafer throughput and increased wafer alignment precision. ASML aims to achieve a throughput rate of 200 wafers per hour with this fifth-generation low-NA EUV scanner, a significant milestone for EUV lithography technology. Historically, EUV lithography has had lower throughput compared to deep UV machines.

For ASML’s logic and memory fab customers, which include only a handful of companies, the updated scanner will enable them to enhance their production capabilities for cutting-edge chips. Improving throughput at existing facilities is crucial for meeting capacity demands and managing production costs.

However, these advanced EUV scanners come at a high price, with a typical scanner costing around $180 million. Despite the cost, ASML’s shipment of faster EUV scanners will have significant financial implications for the company, as they are the sole supplier of this critical tool.

Looking ahead, ASML is already working on the development of the Twinscan NXE:4000F, which is expected to be released in 2026.

Source: ASML (via Computerbase)

About Our Team

Our team comprises industry insiders with extensive experience in computers, semiconductors, games, and consumer electronics. With decades of collective experience, we’re committed to delivering timely, accurate, and engaging news content to our readers.

Background Information


About ASML: ASML is an innovation leader in the global semiconductor industry. ASML provide chipmakers with hardware, software and services to mass produce patterns on silicon through lithography. Their lithography systems use ultraviolet light to create billions of tiny structures on silicon that together make up a microchip. Founded in 1984 in the Netherlands with just a handful of employees, ASML hass now grown to over 40,000 employees, 143 nationalities and more than 60 locations around the world.

ASML website  ASML LinkedIn

Technology Explained


EUV: Extreme Ultraviolet Lithography (EUV or EUVL) is an advanced semiconductor manufacturing technique that employs extremely short wavelengths of light in the extreme ultraviolet spectrum to create intricate patterns on silicon wafers. Utilizing a wavelength around 13.5 nanometers, significantly shorter than traditional lithography methods, EUVL enables the production of smaller and more densely packed integrated circuits, enhancing the performance and efficiency of modern microprocessors and memory chips.





Leave a Reply