TSMC Nears 2nm Milestone: On Track for Mass Production in 2025


May 31, 2024 by our News Team

TSMC unveils plans for future process technologies, including the N2 node and N2P, with impressive performance and yield achievements and plans for the A16 process in 2026.

  • TSMC is on track to enter high-volume manufacturing of its N2 node by the second half of 2025.
  • The N2 node has achieved impressive performance and yield results, with over 90% of expected performance and 80% yield for 256 Mb SRAM devices.
  • TSMC's plans for future advancements, such as the N2P and A16 processes, demonstrate their commitment to delivering top-tier performance, power efficiency, and chip density.


TSMC, the leading semiconductor manufacturer, recently unveiled its plans for the future of its cutting-edge process technologies at its Symposiums 2024. One of the highlights was the progress made on its N2 node, the company’s first 2nm-class fabrication node that primarily utilizes gate-all-around transistors. According to TSMC, the N2 node is close to achieving its performance and yield goals, positioning it to enter high-volume manufacturing by the second half of 2025.

TSMC expressed confidence in the development of the N2 node, stating that it is on track and that the next step is N2P. The gate-all-around nanosheet devices have already achieved over 90% of their expected performance, and the yields of 256 Mb SRAM devices have exceeded 80% in certain batches. It is worth noting that these impressive results have been achieved even though mass production is still over a year away.

Furthermore, TSMC has made significant strides in improving the yield and performance of its 256 Mb SRAM devices. The average yield has increased from around 35% in April 2023 to approximately 70% as of March 2024. At the same time, device performance has been enhanced, allowing for higher frequencies while maintaining power efficiency.

The interest from chip designers in TSMC’s 2nm-class gate-all-around nanosheet transistor-based technology is noteworthy. The number of new tape-outs (NTOs) in the first year of N2 has already surpassed double the figures seen with the previous N5 node. However, it is important to consider that TSMC’s priority partners, such as Apple, receive a significant portion of the initial capacity allocation for a new node. Therefore, NTOs alone may not accurately reflect overall demand.

Comparing N2 to its predecessor N3, TSMC’s slides indicate that N2 is more popular in terms of NTOs during both its first and second years of existence. This suggests that the N2 node holds great promise for the industry. In fact, N2 is projected to have 2.6 times more NTOs in its second year compared to N5.

Looking ahead, TSMC has plans to introduce its N2P technology in the second half of 2026, during the second year of the N2 node’s lifecycle. N2P is expected to deliver additional performance and power benefits, including a 15% to 20% increase in frequency, a 30% to 40% reduction in power consumption, and over 1.15 times higher chip density compared to the N3E node. These advancements will be made possible by leveraging all-new GAA nanosheet transistors.

For companies seeking top-tier performance, power efficiency, and chip density, TSMC will offer its A16 process in 2026. Notably, this node will introduce backside power delivery, which may incur additional costs but is anticipated to significantly enhance performance efficiency and scaling.

In summary, TSMC’s Symposiums 2024 provided insights into the progress of its N2 node and its plans for future advancements in process technologies. With impressive performance and yield achievements, the N2 node is poised to enter high-volume manufacturing by the second half of 2025. Additionally, the introduction of N2P and the upcoming A16 process demonstrate TSMC’s commitment to delivering even greater performance, power efficiency, and chip density in the years to come.

About Our Team

Our team comprises industry insiders with extensive experience in computers, semiconductors, games, and consumer electronics. With decades of collective experience, we’re committed to delivering timely, accurate, and engaging news content to our readers.

Background Information


About Apple: Apple Inc., known for its iconic products, was founded by Steve Jobs, Steve Wozniak, and Ronald Wayne in 1976. The company has since revolutionized the technology landscape with innovations like the Macintosh computer, iPod, iPhone, iPad, and more. Renowned for its emphasis on design, user experience, and ecosystem integration, Apple's devices and software have made a profound impact on various industries. With a commitment to quality and innovation, Apple remains a global technology leader.

Apple website  Apple LinkedIn

About TSMC: TSMC, or Taiwan Semiconductor Manufacturing Company, is a semiconductor foundry based in Taiwan. Established in 1987, TSMC is a prominent player in the global semiconductor industry, specializing in the manufacturing of semiconductor wafers for a wide range of clients, including technology companies and chip designers. The company is known for its cutting-edge semiconductor fabrication processes and plays a critical role in advancing semiconductor technology worldwide.

TSMC website  TSMC LinkedIn



Leave a Reply